./hello-world

github

view on github


Abap


  WRITE 'Hello, world!'.

Abc


  WRITE 'Hello, world!'

Actionscript


  import flash.display.Sprite;

  public class Main extends Sprite {
    public function Main() {
      super();
      trace("Hello, World!");
    }
  }

Ada


  with Ada.Text_IO;

  procedure Hello is
  begin
    Ada.Text_IO.Put_Line("Hello, World!");
  end Hello;

Algol


  BEGIN DISPLAY("Hello, World!") END.

Assembly Language


  global  _main
      extern  _printf

      section .text
  _main:
      push    message
      call    _printf
      add     esp, 4
      ret
  message:
      db  'Hello, World!', 10, 0
    

B


  main()
  {
    putstr("Hello, World!*n");
    return(0);
  }

Bash


  echo "Hello, World!"
  

Basic


  PRINT "Hello, World!"

BrainFuck


  >++++++++[<+++++++++>-]<.>++++[<+++++++>-]<+.+++++++..+++.>>++++++[<+++++++>-]<+
  +.------------.>++++++[<+++++++++>-]<+.<.+++.------.--------.>>>++++[<++++++++>-
  ]<+.

C


   #include <stdio.h>
   void main() {
      printf("Hello, World!");
   }

Ceylon


    void hello() {
        print("Hello, World!");
    }

Clipper


  ? "Hello, World!"
  

Clojurescript


  (println "Hello world!")

Cobol


  program-id. hello.
      procedure division.
          display "Hello, World!".
          stop run.

        

Cobra


  class Hello

      def main
          print 'Hello, World!'

Coffeescript


  console.log ‘Hello, World!

C++


  #include <iostream>
  using namespace std;
  void main()
  {
    cout<<"Hello, World!";
  }

C#


  using System;
  class Program
  {
    public static void Main(string[] args)
    {
      Console.WriteLine("Hello, World!");
    }
  }

Dart


  void main() {
    print('Hello, World!');
  }

Delphi


  program HelloWorld;
  begin
    Writeln('Hello, World!');
  end.

Django


  import django.http from HttpResponse

  def print(request):
        return HttpResponse("Hello, World!")

Eiffel


  class
      HELLO_WORLD
  create
      make
  feature
      make
          do
              print ("Hello world!%N")
          end
  end
  

Fortran


  PROGRAM Hello
  WRITE (*,*) 'Hello, World!'
  STOP
  END
  

F#


  printfn "Hello, World!"

go


  package main
  import "fmt"
  func main() {
    fmt.Println("Hello, World!")
  }

Haskell


  main = putStrLn "Hello, World!"

HTML


  <h1>Hello, World!<h1>

Java


  class helloWorld {
    public static void main() {
      System.out.println('Hello, World!')
    }
  }

Javascript


  console.log("Hello, World!");

jQuery


  $("body").append("Hello, World!");

Julia


  println(“Hello, World!”)

Kotlin


  fun main() {
    println("Hello, World!")
  }

Lisp


  (print "Hello, World")

Logo


  print [Hello, World!]

Lua


  print("Hello, World!")

Matlab


  disp('Hello, World!')

NodeJS


  console.log('Hello, World!');

Objective-C


  #import <Foundation/Foundation.h>

  int main(int argc, const char * argv[]) {
    @autoreleasepool {
      NSLog(@"Hello, World!");
    }
    return 0;
  }

Pascal


  Program HelloWorld(output);
  begin
    writeln('Hello, World!');
  end.

Perl


  print "Hello, World!";

PHP


  <?php
    echo 'Hello, World!';
  ?>

PL/SQL


  BEGIN
  dbms_output.put_line (‘Hello, World!');
  END;

PowerShell


  Write-Host 'Hello, World!'

Processing


  void setup(){
    println("Hello, world!");
  }

Prolog


  main:-
        process,
        halt.
  process:-
        write('Hello, World!').
  :- main.

Python


  print('Hello, World!')

R


  print("Hello, World!")

Ruby


  puts "Hello, World!"

Rust


  fn main() {
    println!("Hello, World!");
  }

Scala


  object Solution
  {
    def main(args: Array[String])
    {
      println("Hello, World!")
    }
  }

Smaltalk


  Transcript show: 'Hello, world!'; cr.

Swift


  import swift
  print("Hello, World!")

System Verilog


  module HelloWorld;
    initial begin
        $display("Hello, World!");
    end
  endmodule

Typescript


  console.log("Hello, World!")

Visual Basic


  Public Sub Main()
    Debug.Print "Hello, World!"
  End Sub